CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 点阵 vhdl

搜索资源列表

  1. LED点阵

    0下载:
  2. 大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL descr iption language. Rom which documents can be automatically generated using lpm_megcore.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3887
    • 提供者:王卫
  1. 可显示动感图像的点阵

    0下载:
  2. 此为用VHDL编写的可实现动感图像的点阵,做于05年2月,显示BUPT并有飞鸟图像显示-prepared for the use of VHDL can achieve dynamic lattice images, in the same February 05, showing WCDMA and birds Image Display
  3. 所属分类:教育/学校应用

    • 发布日期:2008-10-13
    • 文件大小:1222844
    • 提供者:宿星辉
  1. vhdl语言实现的16乘16的点阵显示设计代码

    1下载:
  2. vhdl语言实现的16乘16的点阵显示设计代码,调试通过,可借鉴-VHDL language to achieve the 16 by 16 dot matrix display design code, debug is passed, can learn from-vhdl language implementation of the 16 by 16 dot matrix display design code, debug through, we may learn-VHDL langu
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-13
    • 文件大小:3507730
    • 提供者:王晨
  1. 88dianzhen

    0下载:
  2. 用VHDL语言编写的8*8点阵显示“北京08”的程序。可以用FPGA实现。可将程序当中的“北京08”改成别的汉字显示。-VHDL language using 8* 8 dot matrix display, " Beijing 08" procedures. FPGA implementation can be used. Procedures which could be the " Beijing 08" be changed to show the o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1009612
    • 提供者:王磊
  1. 240128

    0下载:
  2. 240128液晶驱动程序,本演示程序适用于SMG240128A液晶显示模块与MCS51系列单片机采用MCS51模拟口线的 //硬件连线方式。 // 本演示程序包括T6963C兼容芯片的MCS51模拟口线方式子程序集,T6963C兼容芯片的240128 //液晶显示模块的基本子程序,以及SMG240128系列标准图形点阵型液晶显示的基本演示子程序. // 本演示的内容为,在240列X128行的点阵液晶显示屏上清屏,写数据,读写数据,全屏显示.-240,128 LCD drivers
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:2929
    • 提供者:jack
  1. lattice

    0下载:
  2. 本程序是用VHDL编写,用于实现点阵显示功能。-This procedure is used VHDL to prepare for the realization of dot-matrix display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:135500
    • 提供者:lesslie
  1. VHDL

    0下载:
  2. 实现一个10秒倒计时电路,要求使用8*8点阵显示计时结果。在QuartusII平台上设计程序和仿真题目要求,并下载到实验板验证实验结果。-Achieve a 10-second countdown circuit, requires the use of 8* 8 dot matrix display timing results. QuartusII platform in the design process and simulation on the subject request and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:404056
    • 提供者:li
  1. ledhzxs

    1下载:
  2. 以FPGA芯片为核心,扩展必要的外围电路,制作一个16*16LED点阵的汉字显示屏,使之能显示16*16LED点阵的汉字4个,如“一”,“二”,“三”,“四”等。要求显示的汉字无闪烁。每个汉字停留时间1秒。-To FPGA chip as the core, the expansion of the necessary external circuit, producing a lattice of 16* 16LED display of Chinese characters so that
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:263447
    • 提供者:庄青青
  1. tingchechang

    0下载:
  2. 停车场显示是日常生活中使用很平常的系统,停车场显示系统的核心是LED显示。本次设计使用KH-310实验箱,使用点阵模块和数码管模块,根据显示的扫描原理,利用8X8点阵模拟实际停车位并由数码管显示车位信息。- Parking is a show system that everyday life is usual to use the information.parking display system is the core of the LED display. The design of
  3. 所属分类:SCM

    • 发布日期:2017-05-07
    • 文件大小:1097561
    • 提供者:huangyang
  1. trace_matrix

    0下载:
  2. VHDL实现矩阵,可用于点阵板的输出、扫描电路。-use VHDL to build up trace_matrix
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:141744
    • 提供者:李扬
  1. dot

    0下载:
  2. 本点阵模块可以完成16*16的汉字显示,也可以英文数字显示。-The dot matrix module can be completed 16* 16 Chinese characters show that the figures can also be in English.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:251821
    • 提供者:yaodi
  1. 1

    0下载:
  2. 点阵屏的实现八乘八的点振屏的实现点
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:603
    • 提供者:tongdandan
  1. 1616

    0下载:
  2. 用vhdl语言描述的16*16点阵显示英文字母-Vhdl language used to describe the 16* 16 dot matrix display alphabetical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4269
    • 提供者:weimin
  1. display

    0下载:
  2. 点阵显示。利用VHDL语言描述-Dot-matrix display. Described using VHDL language. . . . . . . . . ,. . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:179636
    • 提供者:shaozhiming
  1. MUSIC

    0下载:
  2. 16*16点阵循环显示8个汉字,有背景MIDI音乐输出,有一个键盘控制音乐的选择,还附带乐曲弹奏功能。有比较详细的注解。-16* 16 dot matrix display cycle of 8 Chinese characters, with background MIDI music output, there is a keyboard to control the choice of music, but also with music playing capabilities. Mor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1504576
    • 提供者:叶华
  1. dot

    0下载:
  2. 在和众达SEED-XDTK平台上,基于XC4Vsx25的点阵驱动程序。-In and Jones SEED-XDTK platform, based on the lattice XC4Vsx25 driver.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:856406
    • 提供者:hechao
  1. hanzi1

    0下载:
  2. 用VHDL编写的使晶体点阵显示汉字的程序-Written in VHDL, the crystal lattice display Chinese characters of the program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:5349
    • 提供者:hualiayue
  1. BS

    0下载:
  2. 用EDA设计ROM和RAM及其应用,用VHDL语言编程实现字符、汉字的存取并用点阵显示-ROM and RAM design with the EDA and its applications, using VHDL programming language characters, Chinese characters, access to and use dot-matrix display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:13039396
    • 提供者:黄奇家
  1. matrix

    0下载:
  2. 该源代码是控制16*16点阵的VHDL语言描述,可以让点阵连续显示设置的汉字。-The source code is to control 16* 16 lattice VHDL language descr iption, allowing a continuous dot-matrix display settings of the characters.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:909042
    • 提供者:王伟
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
« 12 3 4 5 6 »
搜珍网 www.dssz.com